DESIGN OF LOW POWER AND AREA EFFICIENT SRAM ARCHITECTURE BASED ON GDI TECHNIQUE

Authors

  • Jeyasree C

DOI:

https://doi.org/10.20894/IJMSR.117.009.001.003

Keywords:

5T SRAM Cell, Power Dissipation, Delay, Cell area

Abstract

Semiconductor memories are most important subsystem of modern digital systems. In new era the scaling of silicon technology has been ongoing, due to scaling large memory can be fabricated on a single chip as results memories are capable to store and retrieve large amount of information at high speed. But due to high density, power dissipation gets increases and speed decreases. So there is need for the design of low power and high speed circuit in memory. Here presents a new five transistor (5T) CMOS and Fin FET SRAM cell to accomplish improvements in stability, power dissipation, and performance over previous designs, for high speed and high stability memory operation. 5T SRAM using low power reduction techniques. All the simulations have been carried out at Tanner EDA tool. In this project, will modify 5T SRAM cell with the use of high threshold PMOS Devices that results in decrease in the leakage that reduces power dissipation. The circuit designing and simulation is done on the Tanner tool, Schematic of the SRAM cell is designed on the S-Edit and net list simulation done by using T-spice and waveforms are analyzed through the W-edit.

Downloads

Download data is not yet available.

Author Biography

Jeyasree C

PG Scholar, ME Applied Electronics Sri Lakshmi Ammal Engineering College, Chennai.

References

[1],Q.Li.B.Wang, and T T.Kim“A 5.61 pJ, 16 kb 9T SRAM with single-ended equalized bitlines and fast local write-back for cell stability improvement,” in Proc Eur Solid Sate Device Res Conf.Sep-2012,201-204.

[2], K. Ronse et al., “Opportunities and challenges in device scaling by the introduction of EUV lithography,”in IEDM Tech.Dig ,Dec-2012,pp-18.5.1-18.5.4.

[3],B.H.Calhoun, J.F.Ryan, S.Khanna, M.Putic, and J.Lach,”Flexible Circuits and architectures for ultralow power.”Proc.IEEE, vol.98,no.2,pp.267-282,Feb,2010.

[4], L.Chang et al,”AN 8T-SRAM for variability tolerance and low voltage operation in high-perf ormance caches,IEEE J.Solid-state Circuits, vol.43,no.4,pp.956-963,Apr.2008.

[5],N.Verma and A.P.Chandrakasan,”A 256 kb 65nm 8T subthreshold SRAM employing sense-amplifier redundancy,” IEEE J.Solid-state Circuits vol.43,no.1.pp.141-149,Jan.2008.

[6], T.H. Kim J.Liu,J.Keane and C.H. Kim.”A 0.2v ,480 kb subthreshold SRAM with I K Cells per bitline for ultra-low-voltage computing,”IEEE j. Solid-state Circuits vol.43,no.2.pp.518-529,Feb,2008.

[7],B.H.Calhoun and A.P.Chandrakasan ,”A 256-kb 65nm sub threshold SRAM design for ultra low voltage operation ,” IEEE J.Solid-state Circuits,vol.42.no.3,pp.680-688,Mar -2007.

[8],J.Davis et al ,”A 5.6 GHZ 64KB dual –read dta cache for the power 6TM processor “in IEEE INT.Solid-state Circuits conf ,(ISSCC)Dig .Tech papers ,2006,pp 622-623.

[9],A.J .Bhavvnagarwala et al ,”A transregional CMOS SRAM with single ,logic V and dynamic rails,”in Symp VLSI Circuis Dig, 2004,pp.292-293.

Downloads

Published

2017-03-27

Issue

Section

Articles